CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - floating point multiplier

搜索资源列表

  1. mult

    2下载:
  2. 32位浮点乘法器的源代码,用verilog来实现的-32-bit floating point multiplier source code to achieve with verilog
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-29
    • 文件大小:1839
    • 提供者:yolin
  1. 32bit_multiplexer

    0下载:
  2. 32位高性能浮点乘法器芯片设计研究.pdf-32-bit high-performance floating-point multiplier chip design research. Pdf
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:409374
    • 提供者:enhom
  1. csa_float_multiplier

    0下载:
  2. 新型的浮点乘法器 用csa来实现可以用在浮点乘法器的地方-A new type of floating-point multiplier with CSA to achieve floating-point multiplier can be used in place
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:165408
    • 提供者:mmq
  1. JPEG2000_9_7_002.pdf

    0下载:
  2. 基于实数的二进制表示法,把CDF(Cohen,Daubechies and Feauveau)9/7双正交小波基的提升系数化为二进制,采用简单的移位一加操作代替结构复杂的浮点乘法器,从而实现了JPEG2000中9/7离散小波变换的定点计算.相对于浮点计算法,移位一加操作最大的优点是计算简单,特别易于超大规模集成电路实现,因而使硬件实时处理图像信号成为可能.实验仿真结果表明:在低压缩比的情况下,用移位一加操作重构的图像,其峰值信噪比(PSNR)只比浮点法低0.10 dB,当压缩比增大时,其PSNR
  3. 所属分类:Wavelet

    • 发布日期:2017-04-16
    • 文件大小:226497
    • 提供者:H Simon
  1. FinalFPMultiplier

    0下载:
  2. Simple 32 bit Floating point Multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7372640
    • 提供者:Rahul
  1. floating_multi

    0下载:
  2. Floating point multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1781626
    • 提供者:Alam
  1. mult

    0下载:
  2. floating point multiplier
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1835
    • 提供者:prashanthi
  1. fpu_v19

    0下载:
  2. Floating Point Multiplier in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:343514
    • 提供者:shanmuga raja
  1. doublemult

    0下载:
  2. 设计了一个双精度浮点乘法器。该器件采用改进的BOO TH 算法产生部分积, 用阵列和 树的混合结构实现对部分积的相加, 同时, 还采用了快速的四舍五入算法, 以提高乘法器的性能。把 设计的乘法器分为4 级流水线, 用FPGA 进行了仿真验证, 结果正确 并对FPGA 实现的时序结果 进行了分析。-Designed a double-precision floating-point multiplier. The device uses an improved algorithm fo
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:209490
    • 提供者:terry
  1. ADSP-21262

    0下载:
  2. High performance 32-bit/40-bit floating-point processor Code compatibility—at assembly level, uses the same instruction set as other SHARC DSPs Single-instruction multiple-data (SIMD) computational architecture— two 32-bit IEEE floating-point
  3. 所属分类:Development Research

    • 发布日期:2017-03-30
    • 文件大小:507942
    • 提供者:ak
  1. CourseDesign

    0下载:
  2. 用Verilog实现一位原码浮点数乘法器,按照累加的方式,逐位相乘,再相加。-Verilog realization of an original code with floating point multiplier, in accordance with the cumulative way, bit by bit multiply, then add.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:246267
    • 提供者:李伟彬
  1. cf_fp_mul_latest.tar

    0下载:
  2. CF Floating Point Multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:586358
    • 提供者:aliakbar
  1. floating-point-multiplier

    0下载:
  2. verilog implementation of the floating point multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1441
    • 提供者:ramtin
  1. code

    0下载:
  2. this file is the vhdl codes for floating point multiplier.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:33078
    • 提供者:elahe
  1. round_nearest

    0下载:
  2. this file is vhdl codes for rounding the floating point number to nearest number.it is useful for floating point multiplier.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:140980
    • 提供者:elahe
  1. MULT

    0下载:
  2. the document used to describe the verilog codes design floating point multiplier in coms design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2350965
    • 提供者:rajapraba
  1. Floating-Point-Multiplier-in-Verilog

    0下载:
  2. Floating Point Multiplier in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

  1. floating-point-multiplier

    0下载:
  2. floating point multiplier in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2473
    • 提供者:abeymohammed
  1. floating-point-multip

    1下载:
  2. verilog code for floating point multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:51354
    • 提供者:rajesh
  1. Fixed-Floating-Point-Adder-Multiplier-master

    0下载:
  2. Fixed-Floating-Point-Adder-Multiplier with test bench
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:9216
    • 提供者:liki20
« 12 »
搜珍网 www.dssz.com